智能机器人

免费订阅电子杂志立即订阅 助推中国运动控制产业发展
首页 >> 杂志文章

基于FPGA的I2C总线接口设计

作 者:任玉伟 来自:2011年第2期"工业通信" 阅读 38607

引言
 I2C(Inter Integrated Circuit)总线是Philps公司开发的一种双线串行传输总线,它由串行时钟线SCL和串行数据线SDA完成全双工传输总线。它具有冲突侦测和仲裁能力,可防止两个或两个以上主机同时控制总线时,数据被破坏,并且它还具有连线少、结构简单的优点,已经成为一种国际标准,现在许多公司生产的芯片、单片机等都集成了I2C总线接口。随着数字技术的飞速发展,以现场可编程门阵列FPGA为代表的器件得到了广泛的应用。用FPGA器件实现I2C总线控制器接口,可以给设计带来很大的灵活性,并且还能增加系统的扩展性。本文就是在FPGA中采用Verilog编写I2C总线控制器,这种方法主要用于微控制器和I2C器件的数据传输。

I2C总线的基本原理
 I2C总线就是集成电路之间传送时钟脉冲与数据的公用线路。采用I2C总线控制就是将微处理器的SCL(串行时钟)、SDA(串行数据)总线与其它集成电路或存储器连接起来,通

[登陆后可查看全文]